Para melhorar a sua experiência este site utiliza cookies. Ao navegar, está a consentir a sua utilização. Saiba mais sobre os nossos cookies.

Preço reduzido

Display LED de 1 Dígito 7 segmentos

1,47 €
1,73 €

(SEM IVA 1.20€)

ElectroFun
a0042ok

O Display LED de 1 Dígito é um display de 7 segmentos clássico na cor vermelha, muito utilizado em projetos de eletrónica como contadores e relógios.

Desconto de quantidade

Quantidade Preço Poupa
3 1,46 € Até 0,04 €
5 1,43 € Até 0,22 €
10 1,40 € Até 0,74 €
Quantidade
Não há produtos suficientes em stock

O Display LED de 1 Dígito é um display de 7 segmentos clássico na cor vermelha, muito utilizado em projetos de eletrónica como contadores e relógios. Configuração ânodo comum e 1 dígito. 

Especificações:
• Modelo: 5611BH;
• Cor LED: vermelho;
• Ânodo comum;
• Dimensões: 12 x 8mm;
• 1 dígito;
• Dimensão dígito: 0.56". 

Possível Esquema de Montagem:

Código Exemplo: 

byte seven_seg_digits[10][7] = { { 0,0,0,0,0,0,1 },  // = 0
                                 { 1,0,0,1,1,1,1 },  // = 1
                                 { 0,0,1,0,0,1,0 },  // = 2
                                 { 0,0,0,0,1,1,0 },  // = 3
                                 { 1,0,0,1,1,0,0 },  // = 4
                                 { 0,1,0,0,1,0,0 },  // = 5
                                 { 0,1,0,0,0,0,0 },  // = 6
                                 { 0,0,0,1,1,1,1 },  // = 7
                                 { 0,0,0,0,0,0,0 },  // = 8
                                 { 0,0,0,1,1,0,0 }   // = 9
                               };
 
void setup() {                
  pinMode(2, OUTPUT);   
  pinMode(3, OUTPUT);
  pinMode(4, OUTPUT);
  pinMode(5, OUTPUT);
  pinMode(6, OUTPUT);
  pinMode(7, OUTPUT);
  pinMode(8, OUTPUT);
  pinMode(9, OUTPUT);
  writeDot(0);  // Inicia com o "ponto" (o signal de casas decimais led) desligado
}
 
void writeDot(byte dot) {
  digitalWrite(9, dot);
}
 
void sevenSegWrite(byte digit) {
  byte pin = 2;
  for (byte segCount = 0; segCount < 7; ++segCount) {
     digitalWrite(pin, seven_seg_digits[digit][segCount]);
     ++pin;   
   } 
} 
 
void loop() {   
  for (byte count = 10; count > 0; --count) {
    delay(1000);
    sevenSegWrite(count - 1); 
  }
 
  delay(4000);   // Aguarda 4 segundos para recomeçar a contar
}
NOVA ENCOMENDA